oda_bg

imikhiqizo

I-DS90UB936TRGZTQ1 S VQFN-64 UB947Q Interface IC chip

incazelo emfushane:

I-interface circuit inemisebenzi elandelayo

Setha ukugcinwa kwedatha kanye ne-buffer logic ukuze ivumelane nomehluko wesivinini phakathi kwe-CPU nedivayisi yangaphandle, isixhumi esibonakalayo ngokuvamile sakhiwa inani lamarejista noma ama-RAM chips, inqobo nje uma lawa ma-chips emakhulu ngokwanele, angaba ukudluliswa kwedatha yeqoqo;


Imininingwane Yomkhiqizo

Omaka bomkhiqizo

Izimfanelo Zomkhiqizo

UHLOBO DESCRIPTION
Isigaba Izifunda Ezihlanganisiwe (ICs)
  Isixhumi esibonakalayo - Ama-serializers, ama-Deserializers
Mfr Texas Instruments
Uchungechunge Ezezimoto, AEC-Q100
Iphakheji I-Tape & Reel (TR)
  Cut Tape (CT)
Isimo Sengxenye Iyasebenza
Umsebenzi I-serializer
Isilinganiso Sedatha 3.36Gbps
Uhlobo Lokufaka I-LVDS
Uhlobo Lokukhiphayo FPD-Link III, LVDS
Inani Lokufaka 8
Inombolo Yemiphumela 2
I-Voltage - Ukunikezwa 1.71V ~ 1.89V
Izinga Lokushisa Lokusebenza ngaphansi kukaziro 40°C ~ 105°C (TJ)
Uhlobo Lokukhweza INtaba Engaphezulu
Iphakheji / Ikesi 64-VFQFN Iphedi Eveziwe
Iphakheji Yedivayisi Yomhlinzeki 64-VQFN (9x9)
Inombolo Yomkhiqizo Eyisisekelo I-DS90UB947

Uhlobo Lwesekethe Oludidiyelwe

Ukuguqulwa kwamafomethi olwazi, njengokuguqulwa kwe-serial nokuhambisana;

Ikhono lokuvumelanisa uhlobo kanye nomehluko wezinga phakathi kwe-CPUS nama-peripherals olwazini.Isibonelo, izishayeli zokuguqula ezivundlile, iziguquli ze-d/A noma ze-A/D, njll;

Ukuvumelanisa ukuhlukana kwesikhashana

Ukuqopha ikheli nomsebenzi wokukhetha

Setha ukucabanga kokuphazamisa kanye nokulawula i-DMA ukuze uqinisekise ukuthi amasiginali wokuphazamisa nesicelo se-DMA akhiqizwa ngemvume ye-DMA, nokuthi ukuphazamisa ukucubungula nokudluliselwa kwe-DMA kuyaqedwa ngemva kokuphazamiseka kanye nempendulo ye-DMA yamukelwe.

Isixhumi esibonakalayo okokufaka/okukhiphayo kuyisekethe ye-elekthronikhi, ngokuvamile i-chip ye-IC noma ibhodi lesixhumi esibonakalayo, elihlanganisa amarejista akhethekile namasekhethi anengqondo okulawula ahambisanayo.Iyindlela nebhuloho lokushintshisana ngolwazi phakathi kwe-CPU namadivayisi okokufaka/okukhiphayo.UKUXHUMANA phakathi kwe-CPU namadivayisi angaphandle, inkumbulo nokushintshisana kwedatha kudinga ukugcwaliswa ngomshini obonakalayo, owokuqala ubizwa ngokuthi isikhombimsebenzisi se-I/O, lesi sakamuva sibizwa nge-memory interface.Imemori ngokuvamile iwukulawula okuvumelanayo nge-CPU, isifunda esibonakalayo silula;Umjikelezo wesixhumi esibonakalayo uyahlukahluka kuye ngohlobo lwedivayisi ye-I/O, ngakho-ke isixhumi esibonakalayo sivame ukubizwa ngokuthi i-interface ye-I/O.Kukhona ikakhulukazi i-Hardware ye-I/O elandelayo

Kunezindlela ezimbili zokuhlanganisa zamadivayisi we-silicon-based photonic kanye namasekethe e-CMOS.

Inzuzo yangaphambili ukuthi amadivaysi e-photonic kanye namadivayisi kagesi angenziwa elungiselelwe ngokuhlukana, kodwa ukupakishwa okulandelayo kunzima futhi izinhlelo zokusebenza zezohwebo zilinganiselwe.Lokhu kokugcina kunzima ukuklama nokucubungula ukuhlanganiswa kwamadivayisi amabili.Njengamanje, ukuhlanganiswa kwe-hybrid okusekelwe ekuhlanganisweni kwezinhlayiya zenuzi kuyisinqumo esingcono kakhulu

Isb 1.I-chip yesixhumi esibonakalayo yokokufaka/yokukhiphayo

Ama-chips amaningi angamasekhethi ahlanganisiwe, afaka imiyalo nemingcele ehlukene nge-CPU, futhi alawula isekethe ye-I/O efanelekile kanye namadivayisi alula angaphandle ukuze asebenze okuhambisanayo, ama-chip ajwayelekile abonakalayo anesikhathi/ikhawunta, isilawuli esiphazamisayo, isilawuli se-DMA, isikhombimsebenzisi esihambisanayo nokunye. ku.

Isb 2. Ikhadi lokulawula isixhumi esibonakalayo okokufaka/okukhiphayo

Kuye ngomqondo othile, amasekhethi amaningana ahlanganisiwe angase abe ingxenye eyodwa, exhunywe ngokuqondile ku-CPU, noma ama-plug-in angase afakwe ezikhaleni zesistimu.


  • Okwedlule:
  • Olandelayo:

  • Bhala umyalezo wakho lapha futhi usithumelele wona