oda_bg

imikhiqizo

(Izingxenye ze-Electronic) 5V927PGGI8

incazelo emfushane:


Imininingwane Yomkhiqizo

Omaka bomkhiqizo

Izimfanelo Zomkhiqizo

UHLOBO DESCRIPTION
Isigaba Izifunda Ezihlanganisiwe (ICs)

Iwashi/Isikhathi

Amajeneretha ewashi, ama-PLL, ama-Frequency Synthesizer

Mfr Inkampani Renesas Electronics America Inc
Uchungechunge -
Iphakheji I-Tape & Reel (TR)
Isimo somkhiqizo Isiphelelwe yisikhathi
Uhlobo Ijeneretha yewashi
I-PLL Yebo nge-Bypass
Okokufaka I-LVTTL, iCrystal
Okukhiphayo I-LVTTL
Inombolo Yeziyingi 1
Isilinganiso – Okokufaka:Okukhiphayo 2:4
Umehluko – Okokufaka:Okukhiphayo Cha/Cha
Imvamisa - Max 160MHz
I-Divider/Multiplier Yebo Cha
I-Voltage - Ukunikezwa 3V ~ 3.6V
Izinga Lokushisa Lokusebenza -40°C ~ 85°C
Uhlobo Lokukhweza INtaba Engaphezulu
Iphakheji / Ikesi 16-TSSOP (0.173″, 4.40mm Ububanzi)
Iphakheji Yedivayisi Yomhlinzeki 16-TSSOP
Inombolo Yomkhiqizo Eyisisekelo I-IDT5V927

Amadokhumenti Nemidiya

UHLOBO LOKUSEBENZA LINK
Datasheets I-IDT5V927
I-PCN Obsolescence/ EOL Isibuyekezo 23/Dec/2013

Amadivayisi Amaningi 28/Oct/2013

I-HTML Datasheet I-IDT5V927

Izigaba Zemvelo Nokuthekelisa

ISIQINISEKISO DESCRIPTION
Izinga Lokuzwela Komswakama (MSL) 1 (Okungenamkhawulo)
FIKA Isimo FIKA Ungathintekile
ECCN I-EAR99
HTSUS 8542.39.0001

Izinsiza Ezengeziwe

ISIQINISEKISO DESCRIPTION
Amanye Amagama 5V927PGGI8
Iphakheji Elijwayelekile 4,000

Imininingwane Yomkhiqizo
24-BIT DIGITAL SIGNAL PROCESSOR

I-Motorola DSP56307, ilungu lomndeni we-DSP56300 lama-programmable digital signal processors (DSPs), isekela izinhlelo zokusebenza zengqalasizinda ezingenazintambo ngokusefa okuvamile.I-on-chip enhanced filter coprocessor (EFCOP) icubungula ama-algorithms esihlungi ngokuhambisana nokusebenza okubalulekile, ngaleyo ndlela ikhulise ukusebenza kahle nokusebenza kwe-DSP kukonke.Njengamanye amalungu omndeni, i-DSP56307 isebenzisa injini esebenza kahle kakhulu, yewashi elilodwa-ngomjikelezo wokufundisa (ikhodi-ehambisana nomndeni oyinhloko we-Motorolas we-DSP56000), i-barrel shifter, ikheli le-24-bit, inqolobane yemiyalelo, kanye isilawuli sokufinyelela kumemori eqondile, njengakuMfanekiso 1. I-DSP56307 inikeza ukusebenza ngemiyalelo eyizigidi eziyi-100 (MIPS) ngomzuzwana kusetshenziswa iwashi langaphakathi elingu-100 MHz elinomgogodla ongu-2.5 volt kanye namandla okufaka/okukhiphayo angu-3.3 ozimele.

Uhlolojikelele
Isebenzisa i-ASMBL (Advanced Silicon Modular Block) esekwe kukholomu yesizukulwane sesibili sezakhiwo, i-XC5VLX330T-3FFG1738I iqukethe amapulatifomu amahlanu ahlukene (imindeni engaphansi), ukukhetha kunawo wonke okunikezwa yinoma yimuphi umndeni we-FPGA.Inkundla ngayinye iqukethe isilinganiso esihlukile sezici zokubhekana nezidingo zezinhlobonhlobo zemiklamo enengqondo ethuthukile.Ngaphezu kwendwangu enengqondo ethuthuke kakhulu, esebenza kahle kakhulu, ama-XC5VLX330T-3FFG1738I FPGA aqukethe amabhulokhi ezinga lesistimu ye-hard-IP, okuhlanganisa nama-RAM/FIFOs anamandla we-36-Kbit block, isizukulwane sesibili izingcezu ze-25 x 18 DSP, Khetha ubuchwepheshe be-IO obunokwakhiwa- ku-impedance elawulwa ngedijithali, i-Chip Sync source-synchronous interface blocks, ukusebenza kokuqapha kwesistimu,

IZICI
Ukusebenza okuphezulu kwe-DSP56300 Core
● 100 million imiyalelo ngesekhondi (MIPS) enewashi elingu-100 MHz ku-2.5 V core kanye no-3.3 VI/O
● Ikhodi yento ehambisana nengqikithi ye-DSP56000
● Isethi yemiyalelo ehambisana kakhulu
● Idatha ye-arithmetic logic unit (ALU)
- I-accumulator ehambisana ngokugcwele namapayipi angu-24 x 24-bit
- I-56-bit parallel barrel shifter (ukushintsha okusheshayo nokujwayelekile; ukukhiqizwa kokusakaza okuncane nokuhlukanisa)
- Imiyalelo ye-ALU enemibandela
- I-24-bit noma i-16-bit arithmetic ukwesekwa ngaphansi kokulawulwa kwesofthiwe
● Iyunithi yokulawula uhlelo (PCU)
- Ukusekelwa kwekhodi ezimele yesikhundla (PIC).
- Izindlela zokukhuluma ezilungiselelwe izinhlelo zokusebenza ze-DSP (kufaka phakathi ukususwa ngokushesha)
- Isilawuli senqolobane yemiyalo eku-chip
- Isitaki sezingxenyekazi zekhompuyutha ezinwebekayo ku-chip
- Izingxenyekazi zekhompuyutha ezifakiwe DO amalophu
- Ukuphazamiseka kokubuyisela okuzenzakalelayo okusheshayo
● Ukufinyelela inkumbulo eqondile (DMA)
- Iziteshi ze-DMA eziyisithupha ezisekela ukufinyelela kwangaphakathi nangaphandle
- Okukodwa-, okubili-, kanye nokudluliswa kwe-dimensional emithathu (kufaka phakathi ibhafa eliyindilinga)
- Ukuphela kwe-block-transfer ukuphazamiseka
- Ukucupha emigqeni yokuphazamiseka nawo wonke ama-peripheral
● Iluphu evaliwe ngesigaba (PLL)
- Ivumela ushintsho lwesici sokuhlukanisa amandla aphansi (DF) ngaphandle kokulahlekelwa ukukhiya
- Iwashi lokukhiphayo elinokuqedwa kwe-skew
● Usekelo lokulungisa amaphutha
- Imojula ye-On-Chip Emulation (Ku-CE).
- I-Joint Test Action Iqembu (JTAG) imbobo yokufinyelela yokuhlola (TAP)
- Imodi yokulandelela ikheli ibonisa ukufinyelela kwe-RAM yoHlelo lwangaphakathi echwebeni langaphandle


  • Okwedlule:
  • Olandelayo:

  • Bhala umyalezo wakho lapha futhi usithumelele wona