oda_bg

imikhiqizo

(Okusha Nokwangempela) Kukusitoko 3S200A-4FTG256C IC Chip XC3S200A-4FTG256C

incazelo emfushane:


Imininingwane Yomkhiqizo

Omaka bomkhiqizo

Izimfanelo Zomkhiqizo

UHLOBO DESCRIPTION

KHETHA

Isigaba Izifunda Ezihlanganisiwe (ICs)

Kushumekiwe

Ama-FPGAs (I-Field Programmable Gate Array)

 

 

 

Mfr AMD Xilinx

 

Uchungechunge I-Spartan®-3A

 

Iphakheji Ithreyi

 

Isimo somkhiqizo Iyasebenza

 

Inombolo yama-LAB/CLBs 448

 

Inombolo Yezinto Ezinengqondo/Amaseli 4032

 

Isamba se-RAM Bits 294912

 

Inombolo ye-I/O 195

 

Inombolo yamaGates 200000

 

I-Voltage - Ukunikezwa 1.14V ~ 1.26V

 

Uhlobo Lokukhweza INtaba Engaphezulu

 

Izinga Lokushisa Lokusebenza 0°C ~ 85°C (TJ)

 

Iphakheji / Ikesi 256-LBGA

 

Iphakheji Yedivayisi Yomhlinzeki 256-FTBGA (17×17)

 

Inombolo Yomkhiqizo Eyisisekelo I-XC3S200  

 I-Field Programmable Gate Array

 Auhlelo lwesango oluhlelekayo lwensimu(I-FPGA) yinisifunda esihlanganisiweyakhelwe ukumiswa yikhasimende noma umklami ngemuva kokukhiqiza - yingakho igamainkambu-iyahleleka.Ukucushwa kwe-FPGA kuvame ukucaciswa kusetshenziswa aulimi lokuchaza ihadiwe(i-HDL), efana naleyo esetshenziselwa i-Isifunda esihlanganisiwe esiqondene nohlelo lokusebenza(ASIC).Imidwebo yesiyingingaphambilini bezisetshenziswa ukucacisa ukucushwa, kodwa lokhu kuya ngokuya kungavamile ngenxa yokuvela kweelectronic design automationamathuluzi.

Ama-FPGA aqukethe uxhaxha lwekuyahleleka amabhlogo anengqondo, kanye nokulandelana kokuxhuma okulungisekayo okuvumela amabhulokhi ukuthi ahlanganiswe ndawonye.Amabhulokhi anengqondo angacushwa ukuze enze inkimbinkimbiimisebenzi ehlangene, noma wenze njengento elulaamasango anengqondonjengeKANYEfuthiXOR.Kuma-FPGA amaningi, amabhulokhi anengqondo nawo afaka phakathiizakhi zenkumbulo, okungenzeka kube lulaophacanoma amabhlogo aphelele enkumbulo.[1]Ama-FPGA amaningi angahlelwa kabusha ukuze enze okuhlukileimisebenzi logic, evumela ukuguquguqukaikhompuyutha elungisekayonjengoba kwenziwa kuisofthiwe yekhompyutha.

Ama-FPGA anendima emangalisayouhlelo olushumekiweukuthuthukiswa ngenxa yamandla abo okuqalisa ukuthuthukiswa kwesofthiwe yesistimu kanyekanye nehadiwe, ukunika amandla ukulingiswa kokusebenza kwesistimu esigabeni sangaphambidlana kakhulu sokuthuthukiswa, futhi kuvumele ukuhlolwa kwesistimu okuhlukahlukene nokuphindaphinda kwedizayini ngaphambi kokuphothula ukwakheka kwesistimu.[2]

Umlando[hlela]

Imboni ye-FPGA yahluma kusukelainkumbulo yokufunda kuphela ehlelekayo(PROM) kanyeamadivaysi e-logic ahlelekayo(ama-PLD).Ama-PROM nama-PLD womabili abenenketho yokuhlelwa ngamaqoqo efektri noma emkhakheni (okungahlelwayo).[3]

I-Alterayasungulwa ngo-1983 futhi yaletha idivayisi yokuqala yemboni ehleleleka kabusha ngo-1984 - i-EP300 - eyayinefasitela le-quartz ephaketheni elivumela abasebenzisi ukuthi bakhanyise isibani se-ultra-violet efa ukuze kucishweI-EPROMamaseli abambe ukucushwa kwedivayisi.[4]

I-Xilinxikhiqize insimu yokuqala ephathekayo ehlelekayoisango arrayngo-1985[3]- I-XC2064.[5]I-XC2064 yayinamasango ahlelekayo kanye nokuxhumana okuhlelekayo phakathi kwamasango, ukuqala kobuchwepheshe obusha kanye nemakethe.[6]I-XC2064 yayinamabhulokhi angu-64 alungisekayo (CLBs), anokufaka okuthathu okubiliamatafula wokubheka(LUTs).[7]

Ngo-1987, i-Isikhungo Sempi Yezempi Yasolwandleixhase ngezimali ukuhlola okuhlongozwe nguSteve Casselman ukuthuthukisa ikhompuyutha ezosebenzisa amasango ahleleleka kabusha angu-600,000.U-Casselman waphumelela futhi ilungelo lobunikazi elihlobene nesistimu lakhishwa ngo-1992.[3]

I-Altera kanye ne-Xilinx baqhubekile nokungaphikiswa futhi bakhula ngokushesha kusukela ngo-1985 kwaze kwaba maphakathi nawo-1990 lapho izimbangi zikhula, kwacekela phansi ingxenye enkulu yesabelo sabo semakethe.Ngo-1993, u-Actel (manjeI-Microsemi) ibisebenza cishe amaphesenti ayi-18 ezimakethe.[6]

Iminyaka yawo-1990 kwakuyinkathi yokukhula ngokushesha kwama-FPGA, kokubili ekuthuthukisweni kwesekethe kanye nomthamo wokukhiqiza.Ekuqaleni kwawo-1990, ama-FPGA ayesetshenziswa kakhuluezokuxhumanafuthiinethiwekhi.Ekupheleni kweminyaka eyishumi, ama-FPGA athole indlela yawo ekusetshenzisweni kwabathengi, kwezimoto, nasezimbonini.[8]

Ngo-2013, i-Altera (amaphesenti angu-31), i-Actel (amaphesenti angu-10) kanye ne-Xilinx (amaphesenti angu-36) ngokuhlangene bamele cishe amaphesenti angama-77 emakethe ye-FPGA.[9]

Izinkampani ezifana ne-Microsoft seziqalile ukusebenzisa ama-FPGA ukusheshisa ukusebenza okuphezulu, izinhlelo ezisebenza ngekhompyutha (njenge-izikhungo zedathaabasebenza laboInjini yokusesha ye-Bing), ngenxa yeukusebenza nge-watt ngayinyeinzuzo ye-FPGAs ilethe.[10]IMicrosoft iqale ukusebenzisa ama-FPGA ukuzesheshisaI-Bing ngo-2014, nango-2018 yaqala ukuthumela ama-FPGA kuyo yonke eminye imisebenzi yesikhungo sedathaI-Azure i-cloud computinginkundla.[11]

Imigqa yesikhathi elandelayo ikhombisa inqubekelaphambili ezicini ezihlukene zedizayini ye-FPGA:

Amasango

  • 1987: 9,000 amasango, Xilinx[6]
  • 1992: 600,000, Umnyango Wezempi Wasolwandle[3]
  • Ekuqaleni kwawo-2000: izigidi[8]
  • 2013: 50 million, Xilinx[12]

Usayizi wemakethe

Umklamo uyaqala

Aisiqalo sokuklamaidizayini entsha yangokwezifiso ezosetshenziswa ku-FPGA.

Idizayini[hlela]

Ama-FPGA esimanje anezinsiza ezinkulu zokuamasango anengqondokanye namabhulokhi e-RAM ukuze kusetshenziswe izibalo eziyinkimbinkimbi zedijithali.Njengoba imiklamo ye-FPGA isebenzisa amanani e-I/O ashesha kakhulu kanye nedatha yokuqondisa kabiliamabhasi, kuba inselele ukuqinisekisa isikhathi esilungile sedatha evumelekile phakathi nesikhathi sokusetha kanye nesikhathi sokubamba.

Ukuhlela phansiinika amandla ukwabiwa kwezinsiza ngaphakathi kwama-FPGA ukuze kuhlangatshezwane nalezi zinkinga zesikhathi.Ama-FPGA angasetshenziswa ukwenza noma yimuphi umsebenzi ophusile owenziwa yi-I-ASICangenza.Ikhono lokuvuselela ukusebenza ngemuva kokuthunyelwa,ukumisa kabusha ingxenyeyengxenye yomklamo[17]kanye nezindleko eziphansi zobunjiniyela ezingaphindi zihlobene nomklamo we-ASIC (naphezu kwezindleko zeyunithi ngokuvamile eziphakeme), zinikeza izinzuzo zezinhlelo zokusebenza eziningi.[1]

Amanye ama-FPGA anezici ze-analog ngaphezu kwemisebenzi yedijithali.Isici se-analog esivame kakhulu ukuhlelekaizinga lokubulalakuphinikhodi ngayinye yokukhiphayo, okuvumela unjiniyela ukuthi asethe amanani aphansi kumaphini alayishwe kancane abengawenza ngenye indlelaindandathonomaumbhangqwanangendlela engamukeleki, kanye nokusetha amanani aphezulu kumaphini alayishwe kakhulu eziteshini ezinesivinini esikhulu ebezingahamba kancane kakhulu.[18][19]Okunye okujwayelekile yi-quartz-ama-crystal oscillators, ama-oscillator e-on-chip resistance-capacitance, kanyeamalophu avaliwengokushumekiweama-oscillator alawulwa yi-voltageisetshenziselwa ukukhiqiza iwashi nokuphathwa kanye ne-serializer-deserializer yesivinini esiphezulu (SERDES) yokudlulisa amawashi nokutholwa kwewashi lomamukeli.Okujwayelekile kuyahlukaabaqhathanisikumaphini okokufaka aklanyelwe ukuxhunywa kuwoisignali ehlukileiziteshi.Abambalwa”isignali exubileAma-FPGA” ane-peripheral ehlanganisiweiziguquli ze-analog-to-digital(ama-ADC) kanyeiziguquli zedijithali-kuya-analog(ama-DAC) anamabhulokhi wokulungisa isignali ye-analog awavumela ukuthi asebenze njenge-uhlelo-ku-a-chip(SoC).[20]Amadivayisi anjalo afiphaza umugqa phakathi kwe-FPGA, ephethe ezedijithali kanye noziro endwangu yayo yangaphakathi yokuxhumana ehlelekayo, futhiuhlu lwe-analog oluhlelekayo(FPAA), ephethe amanani e-analog endwangu yayo yangaphakathi yokuxhumana ehlelekayo.

Amabhulokhi anengqondo[hlela]

Isihloko esikhulu:Ibhulokhi enengqondo

2

Umdwebo wesibonelo esenziwe lula se-logic cell (LUT -Bheka ithebula, FA -I-adder egcwele, DFF -Uhlobo lwe-D-flip-flop)

Isakhiwo esivame kakhulu se-FPGA siqukethe uhlu lweamabhlogo anengqondo(abizwa ngokuthi amabhulokhi anengqondo alungisekayo, ama-CLB, noma amabhlogo we-logic array, ama-LAB, kuye ngomthengisi),Amaphedi e-I/O, kanye namashaneli omzila.[1]Ngokuvamile, zonke iziteshi zomzila zinobubanzi obufanayo (inombolo yezintambo).Amaphedi amaningi e-I/O angase alingane nobude bomugqa owodwa noma ububanzi bekholomu eyodwa kuhlelo.

“Isekhethi yesicelo kumele ifakwe imephu ibe yi-FPGA enezinsiza ezanele.Nakuba inani lama-CLB/LABs kanye nama-I/O adingekayo linqunywa kalula ekwakhiweni, inani lamathrekhi omzila elidingekayo lingahluka kakhulu ngisho naphakathi kwemiklamo enenani elifanayo lokucabanga okunengqondo.(Isibonelo, aukushintsha kwe-crossbaridinga umzila omningi kuno-ai-systolic arrayngokubala kwesango okufanayo.Njengoba amathrekhi omzila angasetshenzisiwe enyusa izindleko (futhi enciphisa ukusebenza) kwengxenye ngaphandle kokunikeza noma iyiphi inzuzo, abakhiqizi be-FPGA bazama ukuhlinzeka ngamathrekhi anele ukuze imiklamo eminingi izolingana ngokwemibandelaamatafula wokubheka(LUTs) kanye I/Os kungabakuthuthiwe.Lokhu kunqunywa izilinganiso ezifana nalezo ezisuselwe kuzoUmthetho wokuqashanoma ngokuhlola imiklamo ekhona.”[21]Kusukela ngo-2018,inethiwekhi-on-chipizakhiwo zomzila kanye nokuxhumana ziyathuthukiswa.[ingcaphuno edingekayo]

Ngokuvamile, i-logic block iqukethe amaseli ambalwa anengqondo (abizwa ngokuthi i-ALM, LE, slice njll.).Iseli elijwayelekile liqukethe okokufaka okungu-4 kwe-LUT, ai-adder egcwele(FA) kanye no-aUhlobo lwe-D-flip-flop.Lezi zingahlukaniswa zibe ama-LUT amabili okokufaka okungu-3.Kuimodi evamilelawo ahlanganiswe abe yi-LUT yokufaka okungu-4 ukuya kweyokuqalai-multiplexer(mux).KuizibaloImodi, imiphumela yabo inikezwa i-adder.Ukukhethwa kwemodi kuhlelwe ku-mux yesibili.Okukhiphayo kungaba nomaehambisanayonomaasynchronous, kuye ngohlelo lwe-mux yesithathu.Empeleni, i-adder iphelele noma izingxenye zayoigcinwe njengemisebenzikuma-LUT ukuze wongeisikhala.[22][23][24]

Amabhulokhi aqinile[hlela]

Imindeni yesimanje ye-FPGA inweba phezu kwamakhono angenhla ukuze ifake ukusebenza kwezinga eliphakeme elilungiswe ku-silicon.Ukuba nale misebenzi evamile eshumekwe kusekethe kunciphisa indawo edingekayo futhi kunikeza leyo misebenzi isivinini esithe xaxa uma kuqhathaniswa nokuyakha kusukela kokudala okunengqondo.Izibonelo zalokhu zihlanganisaiziphindaphinda, ejwayelekileAmabhulokhi e-DSP,amaprosesa ashumekiwe, isivinini esiphezulu se-I/O logic futhi sishunyekiweizinkumbulo.

Ama-FPGA asezingeni eliphezulu angaqukatha isivinini esikhuluama-multi-gigabit transceiversfuthihard IP coresnjengeama-processor cores,I-Ethernet amayunithi okulawula ukufinyelela okuphakathi,I-PCI/I-PCI Expressizilawuli, nezilawuli zenkumbulo zangaphandle.Lawa ma-cores akhona eduze kwendwangu ehlelekayo, kodwa akhiwe ngaphandleama-transistorsesikhundleni sama-LUT ukuze abe ne-ASIC-leveliukusebenzafuthiukusetshenziswa kwamandlangaphandle kokusebenzisa inani elibalulekile lezinsiza zendwangu, okushiya indwangu eningi imahhala kumqondo oqondene nohlelo lokusebenza.Ama-transceiver e-multi-gigabit nawo aqukethe okokufaka kwe-analog okusebenzayo okuphezulu kanye nokujikeleza kokuphumayo kanye nama-serializers anesivinini esikhulu nama-deserializers, izakhi ezingakwazi ukwakhiwa ngama-LUT.Ukusebenza kwesendlalelo somzimba sezinga eliphezulu (PHY) njengeikhodi yomugqaingahle isetshenziswe noma ingenzeki eceleni kwama-serializers nama-deserializers ngendlela eqinile, kuye nge-FPGA.

 

 


  • Okwedlule:
  • Olandelayo:

  • Bhala umyalezo wakho lapha futhi usithumelele wona