oda_bg

imikhiqizo

I-XC7K420T-2FFG901I - Izifunda Ezihlanganisiwe, Ezishumekiwe, I-Field Programmable Gate Arrays

incazelo emfushane:

I-Kintex®-7 FPGAs itholakala ku- -3, -2, -1, -1L, kanye -2L amamaki esivinini, futhi -3 inokusebenza okuphezulu kakhulu.Amadivayisi -2L ahlolelwa amandla amile aphansi aphezulu futhi angasebenza kuma-voltage ayisisekelo aphansi ukuze athole amandla ashukumisayo aphansi kunamadivayisi angu-2.Amadivayisi okushisa -2L wezimboni (I) asebenza kuphela ku-VCCINT = 0.95V.Amadivayisi okushisa -2L anwetshiwe (E) angasebenza kokuthi VCCINT = 0.9V noma 1.0V.Amadivayisi -2LE uma esebenza ku-VCCINT = 1.0V, kanye namadivayisi e- -2LI uma esebenza ku-VCCINT = 0.95V, anezincazelo zesivinini ezifanayo njengebanga lejubane -2, ngaphandle kwalapho kuphawulwe khona.Uma amadivayisi -2LE asebenza ku-VCCINT = 0.9V, ukucaciswa kwesivinini, amandla amile, namandla aguqukayo kuyancishiswa.Amadivayisi wezinga lokushisa -1L wezempi (M) anezincazelo zejubane ezifanayo nezamadivayisi wezinga lokushisa -1 wezempi futhi ahlolelwa amandla amile aphansi aphezulu.


Imininingwane Yomkhiqizo

Omaka bomkhiqizo

Izimfanelo Zomkhiqizo

UHLOBO IFANEKISA
isigaba Izifunda Ezihlanganisiwe (ICs)Kushumekiwe

I-Field Programmable Gate Arrays (FPGAs)

umkhiqizi I-AMD
uchungechunge I-Kintex®-7
ukugoqa ithileyi
Isimo somkhiqizo Iyasebenza
I-DigiKey iyahleleka Akuqinisekisiwe
Inombolo ye-LAB/CLB 32575
Inani lezinto ezinengqondo/amayunithi 416960
Isamba senani lamabhithi e-RAM 30781440
Inombolo yama-I/Os 380
I-Voltage - Ukunikezwa kwamandla 0.97V ~ 1.03V
Uhlobo lokufaka Uhlobo lwe-adhesive ebusweni
Izinga lokushisa lokusebenza -40°C ~ 100°C (TJ)
Iphakheji/Izindlu 900-BBGA, FCBGA
I-encapsulation yengxenye yomthengisi 901-FCBGA (31x31)
Inombolo enkulu yomkhiqizo I-XC7K420
UHLOBO IFANEKISA
isigaba Izifunda Ezihlanganisiwe (ICs)Kushumekiwe

I-Field Programmable Gate Arrays (FPGAs)

umkhiqizi I-AMD
uchungechunge I-Kintex®-7
ukugoqa ithileyi
Isimo somkhiqizo Iyasebenza
I-DigiKey iyahleleka Akuqinisekisiwe
Inombolo ye-LAB/CLB 32575
Inani lezinto ezinengqondo/amayunithi 416960
Isamba senani lamabhithi e-RAM 30781440
Inombolo yama-I/Os 380
I-Voltage - Ukunikezwa kwamandla 0.97V ~ 1.03V
Uhlobo lokufaka Uhlobo lwe-adhesive ebusweni
Izinga lokushisa lokusebenza -40°C ~ 100°C (TJ)
Iphakheji/Izindlu 900-BBGA, FCBGA
I-encapsulation yengxenye yomthengisi 901-FCBGA (31x31)
Inombolo enkulu yomkhiqizo I-XC7K420

Ama-FPGA

Izinzuzo
Izinzuzo zama-FPGA zimi kanje:
(1) Ama-FPGA aqukethe izinsiza zehadiwe njengamaseli anengqondo, i-RAM, iziphindaphinda, njll. Ngokuhlela lezi zinsiza zehadiwe ngokunengqondo, amasekhethi ezingxenyekazi zekhompuyutha njengeziphindaphinda, amarejista, ama-generator amakheli, njll. angasetshenziswa.
(2) Ama-FPGA angaklanywa ngokusebenzisa imidwebo yebhulokhi noma i-Verilog HDL, ukusuka kumasekethe asesangweni alula kuye kumasekhethi e-FIR noma e-FFT.
(3) Ama-FPGA angahlelwa kabusha ngokungenamkhawulo, alayishe isixazululo esisha sokuklama ngama-millisecond ambalwa nje, kusetshenziswa ukulungisa kabusha ukuze kuncishiswe i-hardware engaphezulu.
(4) I-frequency yokusebenza ye-FPGA inqunywa i-chip ye-FPGA kanye nomklamo, futhi ingashintshwa noma ifakwe i-chip esheshayo ukuze ihlangabezane nezidingo ezithile ezidingekayo (nakuba, yiqiniso, i-frequency yokusebenza ayinamkhawulo futhi ukwanda, kodwa kubuswa izinqubo zamanje ze-IC nezinye izici).
Ukubi
Ububi be-FPGA bumi kanje:
(1) Ama-FPGA athembele ekusetshenzisweni kwehadiwe kuyo yonke imisebenzi futhi awakwazi ukusebenzisa imisebenzi efana nokweqa okunemibandela.
(2) Ama-FPGA angasebenzisa kuphela imisebenzi yezindawo ezigxilile.
Kafushane: Ama-FPGA athembele ku-hardware ukuze enze yonke imisebenzi futhi angafaniswa nama-chips azinikele ngokuya ngesivinini, kodwa kunegebe elikhulu ekuguquguqukeni komklamo uma kuqhathaniswa namaphrosesa enhloso evamile.

Dizayina izilimi nezinkundla

Amadivayisi anengqondo ahlelekayo abathwali bezingxenyekazi zekhompiyutha abahlanganisa imisebenzi emisiwe kanye nemininingwane yobuchwepheshe yezinhlelo zokusebenza zikagesi ngobuchwepheshe be-EDA.Ama-FPGA, njengenye yemishini evamile esebenzisa le ndlela, aqondiswe kubasebenzisi ngokuqondile, avumelana nezimo kakhulu futhi aguquguqukayo, kulula ukuwasebenzisa, futhi ayashesha ukuhlola nokusebenzisa ku-hardware.
I-Hardware Incazelo Ulimi (i-HDL) iwulimi olusetshenziselwa ukuklama izinhlelo ze-digital logic kanye nokuchaza amasekhethi edijithali, ayinhloko evame ukusetshenziswa yi-VHDL, i-Verilog HDL, i-System Verilog kanye ne-System C.
Njengolimi oluchaza yonke into yezingxenyekazi zekhompuyutha, i-Very High Speed ​​Integrated Circuit Hardware Description Language (VHDL) inezici zokuzimela ngaphandle kwesekhethi yezingxenyekazi zekhompuyutha ethile futhi izimele kuplathifomu yokuklama, ngezinzuzo zekhono lokuchaza ububanzi obubanzi, hhayi. incike kumadivayisi athile, kanye nekhono lokuchaza ukuklanywa kwe-logic yokulawula eyinkimbinkimbi ngekhodi eqinile futhi emfushane, njll. Isekelwa izinkampani eziningi ze-EDA futhi isetshenziswe kabanzi emkhakheni we-electronic design.esetshenziswa kabanzi.
I-VHDL iwulimi olusezingeni eliphezulu lokwakhiwa kwesekethe, futhi uma iqhathaniswa nezinye izilimi ezichaza ihadiwe, inezici zolimi olulula, ukuguquguquka nokuzimela ekwakhiweni kwedivayisi, okuyenza ibe ulimi olujwayelekile lokuchaza ihadiwe lobuchwepheshe be-EDA nokwenza ubuchwepheshe be-EDA bube ngaphezulu. ifinyeleleke kubaqambi.
I-Verilog HDL iwulimi oluchaza ngezingxenyekazi zekhompuyutha olusetshenziswa kabanzi olungasetshenziswa ezigabeni eziningi zenqubo yokuklama izingxenyekazi zekhompuyutha, okuhlanganisa ukumodela, ukuhlanganisa kanye nokulingiswa.
I-Verilog HDL Izinzuzo: efana ne-C, kulula ukuyifunda futhi iyavumelana nezimo.Iyazwela kofeleba.Izinzuzo ekubhaleni isikhuthazo kanye nokumodela.Ukubi: amaphutha amaningi awakwazi ukutholwa ngesikhathi sokuhlanganiswa.
Izinzuzo ze-VHDL: I-syntax eqinile, i-hierarchy ecacile.Ukungalungi: isikhathi eside sokujwayela, asiguquki ngokwanele.
Isofthiwe ye-Quartus_II iyindawo ephelele yokuklama izinkundla eziningi eyakhiwe yi-Altera, engahlangabezana nezidingo zedizayini zama-FPGA ahlukahlukene nama-CPLD, futhi iyindawo ebanzi yokuklanywa kwesistimu ehlelekayo ku-chip.
I-Vivado Design Suite, imvelo edidiyelwe yokuklama ekhishwe umthengisi we-FPGA u-Xilinx ngo-2012. Ihlanganisa indawo yokuklama edidiyelwe kakhulu kanye nesizukulwane esisha samathuluzi kusukela kusistimu kuya ezingeni le-IC, konke okwakhiwe kumodeli yedatha eyabiwe kanye nendawo evamile yokulungisa iphutha.i-Xilinx Vivado Design Suite inikeza i-FIFO IP cores engasetshenziswa kalula kumiklamo.


  • Okwedlule:
  • Olandelayo:

  • Bhala umyalezo wakho lapha futhi usithumelele wona