oda_bg

imikhiqizo

I-XCVU9P-2FLGA2104I – Izifunda Ezihlanganisiwe, Ezishumekiwe, ama-FPGA (I-Field Programmable Gate Array)

incazelo emfushane:

I-Xilinx® Virtex® UltraScale+™ FPGAs itholakala -3, -2, -1 amamaki esivinini, namadivayisi -3E anokusebenza okuphezulu kakhulu.Amadivayisi -2LE angasebenza ku-voltage ye-VCCINT ku-0.85V noma ku-0.72V futhi anikeze amandla aphansi aphezulu amile.Uma isetshenziswa ku-VCCINT = 0.85V, kusetshenziswa amadivayisi -2LE, ukucaciswa kwesivinini kwamadivayisi we-L kuyefana nebanga lesivinini -2I.Uma isetshenziswa ku-VCCINT = 0.72V, ukusebenza kwe--2LE namandla amile naguqukayo kuyehla.Izici ze-DC ne-AC zicaciswe kububanzi obunwetshiwe (E), bezimboni (I), kanye nezempi (M).Ngaphandle kwebanga lokushisa elisebenzayo noma ngaphandle uma kuphawulwe ngenye indlela, wonke amapharamitha kagesi we-DC kanye ne-AC ayafana ebangeni elithile lesivinini (okungukuthi, izici zesikhathi zebanga elingu--1 ledivayisi enwetshiwe ziyefana nebanga lejubane -1 idivayisi yezimboni).Nokho, amabanga esivinini akhethiwe kuphela kanye/noma amadivayisi atholakala ebangeni ngalinye lokushisa.


Imininingwane Yomkhiqizo

Omaka bomkhiqizo

Izimfanelo Zomkhiqizo

UHLOBO DESCRIPTION
Isigaba Izifunda Ezihlanganisiwe (ICs)

Kushumekiwe

Ama-FPGAs (I-Field Programmable Gate Array)

Mfr I-AMD
Uchungechunge I-Virtex® UltraScale+™
Iphakheji Ithreyi
Isimo somkhiqizo Iyasebenza
I-DigiKey Programmable Akuqinisekisiwe
Inombolo yama-LAB/CLBs 147780
Inombolo Yezinto Ezinengqondo/Amaseli 2586150
Isamba se-RAM Bits 391168000
Inombolo ye-I/O 416
I-Voltage - Ukunikezwa 0.825V ~ 0.876V
Uhlobo Lokukhweza INtaba Engaphezulu
Izinga Lokushisa Lokusebenza -40°C ~ 100°C (TJ)
Iphakheji / Ikesi 2104-BBGA, FCBGA
Iphakheji Yedivayisi Yomhlinzeki 2104-FCBGA (47.5x47.5)
Inombolo Yomkhiqizo Eyisisekelo XCVU9

Amadokhumenti Nemidiya

UHLOBO LOKUSEBENZA LINK
Datasheets I-Virtex UltraScale+ FPGA Idatha yedatha
Ulwazi Lwezemvelo I-Xiliinx RoHS Cert

I-Xilinx REACH211 Cert

Amamodeli we-EDA XCVU9P-2FLGA2104I by SnapEDA

XCVU9P-2FLGA2104I by Ultra Librarian

Izigaba Zemvelo Nokuthekelisa

ISIQINISEKISO DESCRIPTION
Isimo se-RoHS I-ROHS3 Iyahambisana
Izinga Lokuzwela Komswakama (MSL) 4 (72 amahora)
ECCN 3A001A7B
HTSUS 8542.39.0001

 

Ama-FPGA

Isimiso sokusebenza:
Ama-FPGA asebenzisa umqondo ofana ne-Logic Cell Array (LCA), ngaphakathi equkethe izingxenye ezintathu: I-Configurable Logic Block (CLB), I-Input Output Block (IOB) kanye Noxhumano Lwangaphakathi.I-Field Programmable Gate Arrays (ama-FPGA) angamadivayisi ahlelekayo anesakhiwo esihlukile kunesekhethi yengqondo yendabuko kanye namalungu afanayo amasango afana namadivayisi e-PAL, GAL kanye ne-CPLD.I-logic ye-FPGA isetshenziswa ngokulayisha amaseli ememori amile angaphakathi anedatha ehleliwe, amanani agcinwe kumaseli enkumbulo anquma umsebenzi onengqondo wamaseli anengqondo kanye nendlela amamojula axhumeke ngayo kwelinye noma ku-I/ O.Amanani agcinwe kumaseli enkumbulo anquma ukusebenza okunengqondo kwamaseli anengqondo kanye nendlela amamojula axhunywe ngayo kwamanye noma kuma-I/O, futhi ekugcineni imisebenzi engenziwa ku-FPGA, evumela ukuhlela okungenamkhawulo. .

Idizayini ye-chip:
Uma kuqhathaniswa nezinye izinhlobo zokwakheka kwe-chip, umkhawulo ophakeme kanye nokugeleza kwedizayini okuqinile ngokuvamile kuyadingeka mayelana nama-chips e-FPGA.Ikakhulukazi, umklamo kufanele uxhunywe eduze nohlelo lwe-FPGA, oluvumela isilinganiso esikhulu somklamo we-chip okhethekile.Ngokusebenzisa i-Matlab nama-algorithms edizayini akhethekile ku-C, kufanele kwenzeke ukuzuza uguquko olushelelayo kuzo zonke izinhlangothi futhi ngaleyo ndlela kuqinisekiswe ukuthi kuhambisana nokucabanga kwamanje komklamo we-chip ovamile.Uma kunjalo, khona-ke ngokuvamile kuyadingeka ukugxila ekuhlanganisweni okuhlelekile kwezingxenye kanye nolimi oluhambisanayo lokuklama ukuze kuqinisekiswe umklamo we-chip osebenzisekayo nofundekayo.Ukusetshenziswa kwama-FPGA kwenza ukulungisa amaphutha ebhodini, ukulingisa ikhodi kanye neminye imisebenzi yokuklama ehlobene ukuze kuqinisekiswe ukuthi ikhodi yamanje ibhalwe ngendlela nokuthi isisombululo sokuklama sihlangabezana nezidingo ezithile zokuklama.Ngaphezu kwalokhu, ama-algorithms wokuklama kufanele abekwe eqhulwini ukuze kuthuthukiswe umklamo wephrojekthi kanye nempumelelo yokusebenza kwe-chip.Njengomklami, isinyathelo sokuqala ukwakha imojula ethile ye-algorithm lapho ikhodi ye-chip ihlobene khona.Lokhu kungenxa yokuthi ikhodi eklanywe kusengaphambili isiza ukuqinisekisa ukwethembeka kwe-algorithm futhi ithuthukisa ngokuphawulekayo idizayini ye-chip iyonke.Ngokulungiswa okugcwele kwebhodi nokuhlolwa kokulingisa, kufanele kwenzeke ukunciphisa isikhathi somjikelezo esisetshenziswa ekudizayineni yonke i-chip emthonjeni kanye nokuthuthukisa sonke isakhiwo sehadiwe ekhona.Le modeli yomklamo omusha womkhiqizo ivame ukusetshenziswa, isibonelo, lapho kwakhiwa ukuxhumana kwehadiwe okungajwayelekile.

Inselele enkulu ekwakhiweni kwe-FPGA iwukujwayelana nesistimu yehadiwe kanye nezinsiza zayo zangaphakathi, ukuqinisekisa ukuthi ulimi lokuklama luvumela ukuhlanganiswa okusebenzayo kwezingxenye kanye nokwenza ngcono ukufundeka nokusetshenziswa kohlelo.Lokhu futhi kubeka izidingo eziphezulu kumklami, odinga ukuthola ulwazi kumaphrojekthi amaningi ukuze ahlangabezane nezidingo.

 Umklamo we-algorithm udinga ukugxila ekucabangeni ukuze kuqinisekiswe ukuphothulwa kokugcina kwephrojekthi, ukuphakamisa isisombululo senkinga ngokusekelwe esimweni sangempela sephrojekthi, kanye nokwenza ngcono ukusebenza kahle komsebenzi we-FPGA.Ngemuva kokunquma i-algorithm kufanele ibe nengqondo ukwakha imojuli, ukwenza lula ukwakheka kwekhodi kamuva.Ikhodi eklanywe kusengaphambili ingasetshenziswa ekwakhiweni kwekhodi ukuthuthukisa ukusebenza kahle nokuthembeka.Ngokungafani nama-ASIC, ama-FPGA anomjikelezo wokuthuthuka omfushane futhi angahlanganiswa nezidingo zokuklama ukuze kushintshwe ukwakheka kwehadiwe, okungasiza izinkampani ukuthi ziqalise imikhiqizo emisha ngokushesha futhi zihlangabezane nezidingo zokuthuthukiswa kokusebenzelana okungajwayelekile lapho izivumelwano zokuxhumana zingavuthiwe.


  • Okwedlule:
  • Olandelayo:

  • Bhala umyalezo wakho lapha futhi usithumelele wona